您的位置:网站首页 > 路线规划 > 正文

EDA技术在电子电路设计中有什么应用?

类别:路线规划 日期:2018-7-23 8:47:56 人气: 来源:

  电子电路的设计是一项非常复杂的系统工程,在设计过程中,由设计者通过对具体数据进行相应的分析,然后提出初步设计方案,再进行相应的修改与调试,不断地对电路的设计进行补充,完善电路设计方案。这个过程是十分复杂而费时的。随着电子设计自动化()技术的出现,极大的节约了电子电路课程设计的时间,使得电子电路的设计更加简准确、科学。

  电子设计自动化()技术是将计算机作为工作的平台,通过融合电子技术、智能化技术以及计算机技术的最新而设计出来的一项现代电子技术。随着现代化教育的不断深入,EDA技术的应用也越来越广泛。目前,EDA技术已经在电子电路设计、印刷电路板设计、可编程器件的编程以及集成电路板的设计中被广泛的应用。通过运用EDA技术,相应的设计人员能够对电路设计、逻辑分析、时序测试、性能设计等各个方面进行自动设计。对于EDA软件技术的开发而言,8.0软件支撑平台是发挥EDA技术功能的有力支撑平台,能够其电路的建立、实验数据的分析以及结构的输出等方面的处理与分析过程能够在一个集成系统中完成。在利用EDA技术进行设计的过程中,设计人员只需要通过鼠标进行简单的操作就能够完成电路的创建、更改电路参数、更换电路元器件等方面的工作。同时,在使用EDA技术进行电路设计的过程中,该软能够白行对相应的设计方案进行渊试,不断地对设计电路的性能进行分析,对电路设计中的漏洞、问题进行修补充、修改,从而使得设计的电路性能最优化。同时,对于运用EDA技术在8.0软件支撑平台中进行电路设计及其优化而言,该软件在输入输出指令以及各种控制语言方面没有硬性的要求,也不需要对电路的各个环节进行相应的程序编制,只需要在电路设计的平台内将虚拟的电子元器件用节点和线进行连接,就能够从虚拟的仪器表上得到相应的仿真波形以及各种参数的分析结果。8.0软件平台中设有大量的与实际元器件相对应的虚拟模型,这也就使得在进行相应的电子电路设计中,电路设计的的形式更加趋近于现实情况,使得其仿真效果更加精确、科学。

  在进行电子电路设计之前,要对需要设计的电路进行全方面的分析,对设计电路的功能要求、设计标准、设计元件以及技术指标能够熟练的掌握,对于处理信号与被控制转换对象的特点和参数进行系统的分析与统计。其设计的基本流程如下图所示:

  通过对系统的总体功能进行分析,绘制电路设计的原理框图,然后将总的设计方案划分为多个环节。通过对不同环节间的联系进行分析,确定各个环节间的信号交流方式以及电路运行的时序。电路设计的总框图要能够简单、清晰的表达出整个电路设计的过程与原理。

  在确定了总的设计方案后,要对元器件进行选择,通过电子仿真软件将每个环节的电路图进行绘制,然后利用电子仿真软件中的仿真功能对其进行仿真检验,以判断该环节的设计方案是否可行。如果在电路的设计中运用了COMS、分立元件、TTL、运放集成电路等多种元器件,采用了不同的电源供电,那么,在设计的过程中就应该对电路间的电平转换过程进行设计,并对其转换的方式与流程进行相应的框图绘制,从而确保其电平的转换方式正确。同时,在进行仿真电路设计时,可以根据所要设计的电路类型选择合适的仿真软件。当下,电力行业中已经开发出许多种侧重点不同的仿真软件,包括SPICE、Proteus、Mulsim等软件。一般来说,对于在电路设计中基础电路的仿真过程来说,其最好的选择是采用MulTIsim软件进行仿真实验;对于相对复杂的控制电路来说,其主要采用的是Proteus软件;在通信工程的电路设计中,在进行仿真实验设计时,一般采用的是MATLAB软件。通过对各种仿真电路软件的使用,能够对各个环节的设计方案进行相应的性能检验,对其运行的原理及流程进行模拟,还能对电路设计方案中的参数自动分析,对于设计方案中的不足之处进行改进,从而使得设计方案得到优化。通过对EDA技术的运用,不仅能够使得设计的过程变得简单便捷,节约大量的时间,而且能够开发相应学习者的创新思维,提高其动手能力。

  在运用EDA技术对单元电路进行仿真实验之后,要对整个电路的可行性进行分析。因为在进行各个单元的仿真实验中,都是对其一部分的性能进行检验,这也就使得即使各个环节都不存在问题,但在组合成一个整体时就可能存在各环节之间搭配不合理的状况,从而使得整个系统的性能达不到预期的目标。因此,在对各环节进行仿真实验之后,要对各个环节的电路进行全面的分析,对于信号的输入输出关系、各环节接口的极性以及各环节的时序等方面进行深入的分析,从而得出电路设计中存在的冲突与矛盾,进而对其进行修改,制定出最佳的设计方案。

  在对各个环节电路以及各环节电路之间的设计方案进行检验之后,要对整个设计方案进行仿真实验,从而验证整个设计的可行性。在按照设计要求设计出相应的电路元件后,要对其进行反复的实验与联系,从而使得设计人员能够熟练掌握该电路的设计方式。同时,由于在进行电子仿真实验过程中,其元件都是采用的理想元件以及理想的连接工艺,而在实际的电路中,影响其性能稳定性的因素很多。因此,在对电路的实体安装过程中,要对其性能进行多次调试,以使其达到性能最优点。

  通过对电子电路设计的一般步骤可以推出组合逻辑电路的设计步骤为:分析问题,列表,求表达式,画出电路图。下面我们以判断两个输入信号的电路是否同路的逻辑仿真设计为例展开讨论。

  3.1.1 设定规则。将两个输入信号分别设定为A、B,其输出信号设为X,当A、B两个信号的输入电路相同时输出X=0,当A、B两个型号输入电路不同时其输出为X=1。

  3.1.2 启动电子工作平台(EWB),进入其主界面,将该平台的仪器数据库打开,搜索其中的逻辑转换仪,双击其图标,以打开逻辑转换仪的操作面板,在面板上的真值表区分别点击A、B两个逻辑变量,从而在面板的输出区域建立一个二变量真值表,并根据相应的要求在输出变量列中输入相应的逻辑数值。

  3.1.3 在逻辑转换仪中输入相应的输入量后,在其面板上点击“真值表简化逻辑表达式”选项,使得经简化的逻辑表达式在该面板底部的逻辑表达栏中显示出来。

  3.1.4 简化的逻辑表达式在逻辑转换仪面板底部显示出来之后,再选择该面板上“表达式与非逻辑电路”选项,之后在相应的显示区域显示出由五个与非门组成的电路。

  3.1.5 在该电路设计出来之后,要对其逻辑功能进行测试,通过在两个输入端接入两个开关,其中一个选择“+5V”,另一个选择接地,其输出端与灯相连接,然后接通开关,根据灯的状态,对真值的状态进行验证。

  3.2.1 首先,对JK触发器的逻辑功能进行测试。从相应的数字器件库中选用一个JK触发器,要求该触发器本身属性为低电平触发、置位与复位,按照下图进行连接,闭合该电路中仿真开关,然后打开逻辑分析仪面板,通过读取的图形以及参数,对其进行分析,就能够完成对JK触发器逻辑功能的测试。

  3.2.2 通过利用JK触发器的特性将触发器按照要求进行组装,使其具有相应的分频功能。一般来说,一个JK触发器可以制成二分频器,对两个分频器进行相应的组合能够组装成四分频器。根据设计的要求进行分析,得出在该电路设计中需要运用八分频器,那么就需要将三个触发器按照二进制导步计数器级连接的方式进行连接,从而得到八分频器。将分频器组装好之后,要把时钟的脉冲以及JK触发器的Q端连人电路中,与逻辑分析仪相连接,就能够获得相应的波形图,通过波形图就可以得到其输出端电平高低位与时间脉冲的关系。

  当前,EDA技术的应用越来越普遍,在电子电路设计领域所扮演的角色也越来越重要,这也就要求我们要正确认识EDA技术的作用。在笔者看来,对于EDA技术正确应用的教育与引导主要于教育者,这也就要求相关的教育者必须正视EDA技术,合理充分的利用教学资源,积极采用新方法、新模式的教育教学方式,探索加快现代化教育进行的路径。同时,在相应的教育教学过程中,应该将EDA技术引入到正规的教学课程中去,与相应的实验相结合,从而有效地加快学生对于这种技术的认识。但是,在教育教学的过程中,一定要木着实事求是的原则,不能将其功能进行片面的夸大化,也不能仅仅依靠该种技术而传统的手工实验,导致学生实际动手能力与思考能力的发展受到。

  在笔者看来,EDA技术现在正是处于快速发展的阶段,而随着各种大规模的FPGA器件的不断开发,EDA技术在其仿真与设计这两的方面的硬件标准得到了大幅度的发展。在未来的几年内,EDA技术会不断地向着大规模集成电路的方向发展,而且其软硬件IP核在相关的应用领域中将会得到进一步的确认,其SOC高效低本设计也会不断地成熟。

  通过将EDA技术运用到电子电路的设计与仿真过程中,使得其学习方式向着新颖化与趣味化转变,能够充分的调动起学生学习的积极性,发挥学生的主观能动性,从而有效地提高学生在电路的设计与分析方面的能力。同时,通过传统的教学方式与仿真技术相结合,将虚拟技术应用到实际的设计过程中,提高了对相应的教育者的要求,加快了课改的进度,是推动社会主义现代化进程的一个有力手段。

  ---最后针对fpga的设计实现提出一些改进方案,fpga实现分为编译规划、布局布线(par,pla...

  可编程逻辑器件是一种由用户编程以实现某种电子电路功能的新型器件,PLD 可分为低密度和高密度两种。其...

  EDA技术可面向三个不同的层次,即系统级、电路级和物理实现级。进入20世纪90年代以来,EDA技术逐...

  “中兴事件”自爆发以来,从最初的国内一片哗然,到中美两国领导人的磋商斡旋,再到中兴再缴纳罚款、重新复...

  有一个的准则就是在所有模拟电路印制电路板中,信号线应尽可能的短,这是因为信号线越长,电路中的...

  随着电子电路仿真技术的不断发展,许多公司推出了各种功能先进、性能强劲的仿真软件。既然它们能百家争鸣,...

  EDA软件中allegro的模块复用非常实用,可能通常我们的工程师还用的不多,但它在某些场合下还...

  很多初学者焊的电子线路板很不稳定,容易短路或断路。除了布局不够合理和焊工不良等因素外,缺乏技巧是造成...

  地区从1980年代后期逐渐建立起完整的半导体上、中、下游供应链,Cadence可说并亲身参与...

  当下,半导体行业正在掀起一波新的整合浪潮,为一些高风险的市场竞争铺平了道路,同时也给在产品预计生命周...

  一家成立于2017年的EDA新创公司在日前于美国举行的年度设计自动化大会(DAC 2018)上...

  纵观行业发展面临的形势与发展趋势,一是防范企业金融风险,谨慎投资,避免相互。发改委提出2018年...

  电阻R和电容C接入输入信号VI,由电容C输出信号V0,当RC (τ)数值与输入方波宽度tW之间满...

  Altium Designer提供了对应的数据管理和输出方面的实用功能。SVN模块实时进行设计版本的...

  crowbar 电路是一种过电压电路。这种电路的设计思想是当电源电压超过预定值时将电源短路掉,通...

  本视频教程主要主要介绍Xilinx公司开发设计流程中的各个功能模块,包括ISE中的设计输入,综合,设...

  本视频教程主要主要介绍Xilinx公司开发设计流程中的各个功能模块,包括ISE中的设计输入,综合,设...

  当然,层次化设计也有许多不可避免的缺陷,最突出就是来源于时序预估时产生的误差。这种误差往往使得做划分...

  对于初学者而言,FPGA的设计流程是否显的“又臭又长”呢??嗬嗬,如果真的有这样的感觉,没有关系,下...

  选择PSoC 的一个重要的原因就是,PSoC 能在硬件和软件之间进行权衡,使嵌入式系统达到最大的效率...

  EMC仿真软件能够为我们提供了一个非常有效的高频和高速电磁仿真设计工具,它集高速电路建模、仿真和优化...

  Linux服务器的性价比有多高?举例来说,某集成电路设计中心机房的IC设计服务器是2004年采购,采...

  现代自动控制设备中,都存在一个电子电路与电气电路的互相连接问题,一方面要使电子电路的控制信号能够控制...

  西门子子公司Mentor总裁兼首席执行官Wally Rhines一直都不赞同业界关于半导体业务和相关...

  在线的EDA软件很多,但有些网站会缺少一部分,原理图、仿真(模拟运行)、PCB是一个完整的EDA工具...

  Altium研发团队很高兴地向您宣布Texas Instruments电源管理器和音频的最新更新。现...

  今年早些时候,台积电宣布在ARM A72和A73内核上成功地初步部署了机器学习,帮助预测最佳的单元时...

  界范围内,关于VHDL在多个领域尤其在芯片,系统设计方面的应用研究已经取得众多瞩目。而将VH...

  从历史上来看,模拟设计师几乎就像射频(RF)设计师一样显得神秘和守旧。根据参与2015年国际实体设计...

  HyperLynx DRC 是设计规则检查工具,支持复杂设计规则验证,如EMI/EMC,走线交叉参考...

  PLD设计中,原理图输入比较直观。效率高,但设计大规模CPLD时显得很繁琐。当进行大规模CPLD设计...

  EDA 电路的功耗是要明确的第三个参数。该参数是确保系统能持续数天记录 EDA 信号而无需充电或更换...

  集成电路技术和计算机技术的蓬勃发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择。传统...

  EDA(电子设计自动化)工具对于IC设计领域而言,由于可以利用计算机软件工具将复杂的电子产品设计过程...

  在此生态系统中,芯禾科技与全球EDA行业前四的厂商都缔结了合作伙伴关系,并通过界面、集成等形式实现了...

  将机器学习应用于芯片设计开始取得重大进展。在本周举行的DesignCon大会上,电子设计自动化(ED...

  随着行业发展,对降低PPM和提高直通率要求的不断提高,以上方式出许多问题,无法满足品质管控要求。...

  EDA是IC电子行业必备的设计工具软件,是IC产业链最上游的子行业。Cadence、Synopsys...

  如果您返还租来的汽车,那么可能可以看到服务员用手持扫描仪读取汽车信息,然后与办公室进行无线通信。该设...

  4月21日报道 昨日,澎湃新闻采访美国商务部一位官员,从对话内容看,美方的态度很强硬,似乎对中兴禁售...

  “数字集成电路(Integrated Circuit,简称IC)原理与设计”是为高等学校电子、通信、...

  随着电子电路仿真技术的不断发展,许多公司推出了各种功能先进、性能强劲的仿真软件。既然它们能百家争鸣,...

  添加设计文件。将设计文件加入工程中。单击“Next”,如果有已经建立好的Verilog等文件可以在F...

  随着EDA技术的发展,EDA技术的广泛应用,电子产品的更新日新月异,EDA技术已成为现代电子设计的核...

  本文主要详谈EDA技术的特点及作用,首先介绍了EDA技术的发展历程,其次阐述了特点及作用,最后介绍了...

  由于电子技术的飞速发展,使得基于EDA技术的电子系统设计方法得以广泛应用。EDA技术已成为现代系统设...

  EDA公司以卖EDA工具license费作为主要的商业模式。以某家EDA公司的PnR工具为例,一套l...

  全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件质量和安全解决方案的全球...

  本文首先介绍了EDA工具厂商,其次对八种硬件设计的EDA工具做了对比分析,最后阐述了eda的应用。

  从前述调研结果中,我们可以得知中国企业用户对IT架构的建设总要求主要设定在:提高运营效率和信息传输量...

  英国国家物理实验室(NPL)的研究人员研制出了一种全光 二极管 ,新二极管能被用于微型光子电路中,有...

  所谓探索性数据分析,是指对已有的数据(特别是调查或观察得来的原始数据)在尽量少的先验假定下进行探索,...

  功率是能量被消耗的速率,这在十年前还不是热门,但今天已是一个重要的设计考量。系统的能耗会带来热量、耗...

  不同低功耗技术的EDA支持是的,结果设计师不得不通过一系列特殊手段定义低功耗功能。更重要的是...

  EDA技术 是20世纪90年代初迅速 发展 起来的一门新技术,代表了当今电子设计的最新发展方向,其...

  2017年全球包括EDA、半导体知识产权(SIP),以及服务等在内的整体EDA产业市场规模约为85-...

  IC就是半导体元件产品的统称,IC按功能可分为:数字IC、模拟IC、微波IC及其他IC。数字IC就是...

  故障产生的原因很多,情况也很复杂,有的是一种原因引起的简单故障,有的是多种原因相互作用引起的复杂故障...

  :点击↑电源联盟订阅高可靠电源行业第一自电源联盟---高可靠电源行业第一自在这里有电源技...

  商业模式不断转变(以制造为中心到无晶圆模式),产业链分工继续延伸(系统设计厂商、IP厂商),终端应用...

  本文开始详细的阐述了eda是什么技术以及eda的设计方法,其次阐述了eda的设计技巧,详细的分析了e...

  本文主要介绍了eda简易密码锁的设计方案汇总(三款eda简易密码锁的设计原理图详解)。利用EDA工具...

  作为一款以“一个易用的工具来连接工程师与供应链,降低学习门槛,为工程师节约时间”为旨的EDA工具。...

  将基于VHDL的HDB3编码用在光纤通信系统中作为误码仪测试误码的HDB3转换器,能满足实际测试的需...

  EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新,进...

  由于skill 语言提供编程接口甚至与C 语言的接口,所以可以以Cadence 为平台进行扩展用户,...

  鳍式场效晶体管(简称 finFET)的推出标志着 CMOS 晶体管首次被看作是真正的三维器件。由于源...

  本文主要对EDA技术的简单介绍及特点分析。EDA在20世纪60年代中期从计算机辅助设计(CAD)、计...

  为及时、准确地检测隔离内部故障,支持新一代飞机视情维修和自主式保障的实现,提高飞机的保障性和经济可承...

  目前DSP和EDA两者都应用广泛,二者之间有什么不同呢?本文带来数字信号处理器DSP与EDA的区别介...

  IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者;从设计程度上来...

  本文主要介绍了一种基于EDA技术的出租车计费系统设计与实现,采用EDA技术的层次化设计方法设计出租车...

  本文分析了基于TLC549的数据采集系统设计,分析了TLC549芯片的主要参数和工作原理,利用了TL...

  2017年12月11日,全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件...

  随着电子技术的发展,应用系统向小型化,快速化,大容量,重量轻的方向发展,电子设计自动化(Electr...

  微波系统的设计越来越复杂,对电路的指标要求越来越高,电路的功能越来越多,电路的尺寸要求越做越小,而设...

  人们习惯上将高于数百兆赫兹的频率称为射频(RF)或者微波(MW)。射频集成电路在近十年内得到广泛重视...

  微波系统的设计越来越复杂对电路的指标要求越来越高,电路的功能越来越多电路的尺寸要求越做越小而设计周期...

  本文由 790游戏(www.790.kim)整理发布

0
0
0
0
0
0
0
0
下一篇:没有资料

相关阅读

网友评论 ()条 查看

姓名: 验证码: 看不清楚,换一个

推荐文章更多

热门图文更多

最新文章更多

合作伙伴:幸运28预测

关于联系我们 - 广告服务 - 友情链接 - 网站地图 - 版权声明 - 人才招聘 - 帮助

声明:网站数据来源于网络转载,不代表站长立场,如果侵犯了你的权益,请联系客服删除。

CopyRight 2010-2016 乌镇旅游网-乌镇,乌镇旅游,乌镇观光,乌镇旅游攻略,乌镇一日游,乌镇住宿 All Rights Reserved